Original Link: https://www.anandtech.com/show/9686/the-apple-iphone-6s-and-iphone-6s-plus-review



To be perfectly honest, this past year has been remarkably boring in the mobile segment. For whatever reason, phones have either stood still or regressed when it comes to overall quality. There are a few stand-outs that have been worth talking about like the Galaxy S6 lineup and the Galaxy Note5 lineup, but for the most part every phone I’ve reviewed this year has been disappointing in some way. I carry an iPhone 6 to make sure I stay current on changes in iOS, but my primary phone continues to be an HTC One M7. I was hoping to get a new Android phone this year, but so far nothing has really piqued my interest.

Part of the problem this year is that performance and battery life haven't been the most impressive in a lot of cases. By this point, it's really not a surprise that Snapdragon 810 doesn't deliver as much performance as it needs to for the amount of power that it draws. However, even independent of SoC it seems a lot of OEMs haven't really pushed the bar in design or attention to detail. Some phones have cameras with almost unacceptable post-processing quality, others continue to have poorly calibrated displays, and the ones that have none of those have problems with software experience or something else. In general, no Android phone I've seen this year really delivers everything that I'd want in a single package. There are phones that are clearly better than others, but nothing that rises to the level that I'd want before putting down a few hundred dollars.

In light of this lack of competition in the market, it's arguable that Apple is facing less competition than before. The iPhone 6s would continue to sell quite strongly even if this year's refresh was relatively minor as they would still end up quite strong competitively as they would be able to capitalize on momentum from previous years. If you were unfamiliar with the iPhone 6s and Apple's iPhone launch cycle, at first you might be convinced that Apple has done exactly that. However, in general the iPhone release cycle is such that industrial design is constant for two years at a time, so every other year sees a design refresh. When the design isn't refreshed, the phone often carries significant internal changes. In the past, the iPhone 3GS brought a better SoC, a faster modem, and a better camera. The iPhone 4S brought a new SoC, camera, and Siri. The iPhone 5s brought a new SoC, camera, and TouchID. In general, we can see a pretty clear pattern of evolution but it seems that with the 5s the refresh launches have generally brought new features as it has become insufficient to simply ship a faster SoC and possibly a modem and camera refresh to justify a new smartphone.

In the interest of diving into these changes at a high level, we can start with our usual spec table, which can give an idea for whether there's anything immediately worth talking about.

Apple iPhone 6s and 6s Plus
  Apple iPhone 6 Apple iPhone 6 Plus Apple iPhone 6s Apple iPhone 6s Plus
SoC Apple A8
2 x 1.3GHz Apple Typhoon
Apple A9
2 x 1.85GHz Apple Twister
GPU PowerVR GX6450 PowerVR GT7600
RAM 1GB LPDDR3 2GB LPDDR4
Display 4.7-inch 1334 x 750 IPS LCD 5.5-inch 1920 x 1080 IPS LCD 4.7-inch 1334 x 750 IPS LCD 5.5-inch 1920 x 1080 IPS LCD
Size / Mass 138.1 x 67 x 6.9 mm, 129 grams 158.1 x 77.8 x 7.1 mm, 172 grams 138.3 x 67.1 x 7.1 mm, 143 grams 158.2 x 77.9 x 7.3mm, 192 grams
Camera Rear Facing
8MP iSight with 1.5µm pixels + True Tone Flash


Front Facing
1.2MP F/2.2
Rear Facing
8MP iSight with 1.5µm pixels + True Tone Flash + OIS

Front Facing
1.2MP F/2.2
Rear Facing
12MP iSight with 1.22µm pixels + True Tone Flash


Front Facing
5MP F/2.2 +
Retina Flash
Rear Facing
12MP iSight with 1.22µm pixels + True Tone Flash + OIS

Front Facing
5MP F/2.2 +
Retina Flash
Storage 16GB/64GB/128GB
I/O Apple Lightning connector, 3.5mm headset
WiFi 2.4/5GHz 1x1 802.11a/b/g/n/ac, BT 4.2, NFC 2.4/5GHz 2x2 802.11a/b/g/n/ac, BT 4.2, NFC
Price $549 (16GB) $649 (16GB) $649/749/849 16/64/128GB $749/849/949 16/64/128GB

At a high level, there are already a number of changes that we can talk about. The SoC is new and improved for this year with improved performance and power. The rear camera now supports 4K video recording and has higher resolution photos than what we’ve seen before. The front-facing camera is now higher resolution as well. The iPhone 6s finally has 2 GB of RAM, with improved power and bandwidth along with improved multi-tasking that comes from additional RAM. The modem now uses less power and also capable of higher throughput, as is the WiFi chipset.

Outside of these spec sheet changes, Apple has implemented a number of new features. One of the major highlights is 3D Touch, in which the display is force-sensitive and allows for new user interface actions based upon the amount of pressure applied to a point on the display. The front-facing camera now uses the display as an LED flash of sorts in low light, which measures ambient light in order to determine what white balance to use along with a backlight driver that temporarily spikes brightness to up to three times the normal maximum brightness for effective lighting. Both cameras now have Live Photos, which records a 1.5 second segment of video before and after the photo taken to capture a moment rather than an instant without the complication that comes with videos.

Design

Of course, before we can get into all of these changes we can start by focusing on the most immediate change, which is the design. For those that are unfamiliar with Apple’s iPhone launch cycle, the S launch cycle usually retains the same industrial design as the previous iPhone. As a result, the changes here are rather scarce. Those interested in a detailed description of the design should refer back to the iPhone 6 review.

However, there have been changes to the look and feel of the iPhone 6s lineup. The first, and most immediate change is the addition of a new color that Apple calls Rose Gold. This is much redder in tone than what we saw with the Apple Watch Edition, to the extent that it looks more like a light pink with a gold tinge rather than gold with a light pink tinge. I’m probably the last person in the world to consult on what color looks best, but I don’t really see anything wrong with this color.

Outside of color, the iPhone 6s lineup has changed in a noticeable way when it comes to materials and in-hand feel. The aluminum back cover is now 7000-series, which increases rigidity with the addition of zinc which increases yield strength, or the pressure needed to permanently deform the material. It’s likely that relative to the iPhone 6, ultimate tensile strength has also increased, although given that tensile strength is a test of how well the metal avoids breaking apart it’s unlikely that this property matters all that much. If you're actually reaching the ultimate tensile strength of a phone's back cover, you've probably already broken everything else in the phone.

With the use of this new aluminum back cover, the phone should be less susceptible to bending under extreme forces. I never had a problem with this when testing the iPhone 6 last year, but it shouldn’t be a problem now. Oddly enough, I did notice that the iPhone 6s is easier to grip than the iPhone 6, but the difference isn’t big enough that I would avoid using a case.

The other change is a new type of cover glass on the display, which uses a dual ion exchange process to make it tougher. According to Apple, this glass is the most durable of any in a smartphone today. A simple search with Google gives reason to suggest that Corning is making this glass as Corning holds a patent for this process. While we have no idea what Apple’s process is, the same dual ion exchange process occurs, which creates two distinct stressed layers that improves impact strength relative to a standard single ion exchange that is seen in Gorilla Glass.

Other than this, the design of the iPhone 6s remains quite good. The rounded edges and slightly curved glass help with in-hand comfort and things like the placement of the 3.5mm headphone jack on the bottom is a smart idea as the headphone jack is easily accessible when the phone is inside a pocket. We can talk about how the camera hump means that the phone doesn't rest completely flat on a table, but given that the phone has gotten about 15 grams heavier in both the iPhone 6s and 6s Plus I suspect it makes sense for Apple to avoid making the phone any thicker with extra battery than they have now. I would rather see thinner lines to insulate antennas here, but I suspect that there is some RF requirement that makes it difficult for this to happen.

Compared to something like the HTC One M9 which also has an aluminum unibody design Apple is far ahead in terms of overall ergonomics and cohesiveness. Relative to the Samsung Galaxy S6 I think the iPhone 6s is noticeably more comfortable due to the more rounded edges, but the iPhone 6s Plus and Galaxy Note 5 are pretty close in overall ergonomics. I do wish Apple would go to a front-ported speaker for the iPhone, but it seems that this is unlikely to happen due to the home button and bezel constraints.



Analyzing Apple A9’s SoC

For Apple’s engineering teams, I’m still undecided whether Apple’s tick-tock style of SoC development is a curse or a blessing. That Apple more strongly invests in SoC development for the iPhone-S processors is a blessing, as it gives the engineering teams a bit of time to breathe and to plan out major architecture shifts over two years. On the other hand even for non-S iPhones the engineering teams still need to deliver an amazing product – iPhone 5 and iPhone 6 were no slouches – so I’m not sure how much of a breather the engineering teams actually get. They may have two years to space some of their transitions, but they must continue to deliver a top-tier SoC every year.

When Apple released the A7 SoC alongside the iPhone 5s in 2013, they pulled off something that rocked the SoC industry. The Cyclone CPU core all but came out of nowhere, beating previous estimates for the first ARMv8 64-bit phone SoCs (by any vendor) by roughly a year. As a result the 64-bit transition became a lot more important a lot sooner than anyone was expecting, and to this date some of Apple’s SoC competitors are still trying to recover from the shock of having to scramble to go 64-bit sooner than they planned.

As for Apple’s engineering teams, that we’re on another iPhone-S year means that there’s quite a bit of pressure to pull off a repeat performance, and I suspect that pressure is internal as much as it is external. A7 brought with it Cyclone, a CPU that was not only 64-bit, but thanks to its exceptional (for a mobile CPU) issue width of 6 micro-ops, brought with it a huge jump in single-threaded performance. At the same time A7 also saw Apple transition to PowerVR’s Rogue architecture GPUs (PowerVR G6xxx), which was a similar jump in GPU capabilities and performance, along with setting the stage for Apple’s proprietary, low-level Metal graphics API. A7 was everything Apple needed and more, cementing Apple’s place as a top-tier SoC designer and laying the groundwork for the performance advantage Apple has enjoyed over the past two years.


Apple's A9 SoC (Image Courtesy iFixit)

For 2015 then and for their latest iPhone-S, Apple has once again turned out a new SoC. This brings us to the A9, and in a year with an iPhone-S and almost no external design changes to speak of, all eyes are on what Apple has devised to go inside their phones.

Apple A9 vs A8 SoCs
  Apple A9 (2015) Apple A8 (2014)
Manufacturing Process TSMC 16nm FinFET /
Samsung 14nm FinFET
TSMC 20nm HKMG
Die Size 104.5mm2/96mm2 89mm2
CPU 2 x Apple Twister
ARMv8 64-bit cores
2 x Apple Typhoon
ARMv8 64-bit cores
GPU IMG PowerVR GT7600 IMG PowerVR GX6450

Finally Fabbed with FinFETs

When the iPhone 6 launched I spent some time talking about how for the A8 SoC, Apple finally reached the point where they were building SoCs on a leading edge manufacturing process. That process at the time being TSMC’s 20nm planar process. The fact that Apple was building on a leading edge process was important for two reasons: 1) It was a strong indicator of how serious they were about SoC production and how much they were willing to spend in order to achieve the best possible performance, and 2) it meant that Apple had finally completely climbed the ladder (so to speak) and wouldn’t be able to “exceed the curve” just by catching up on manufacturing technology. Post-A8, Apple can only improve their performance by improving their architecture, building bigger chips, and finally, jumping to newer manufacturing processes as they become available.

What wasn’t said at the time – due to the fact that no one outside the fabs was quite sure – was where Apple would go in 2015. Had you asked me in 2014 what I would expect the A9 to be fabbed on, I would have suggested another round on TSMC’s 20nm process now that Apple had caught up to the leading edge. However much to my surprise (and to a steak dinner I lost a bet on) Samsung was able to get their 14nm FinFET process yielding well enough to supply Exynos 7420 in bulk for the Galaxy S6 launch nearly 6 months ago.

The fact that one of the contract fabs was able to get a FinFET process up and yielding well enough for volume production before the very end of 2015 has definitely changed the picture for what Apple can do. Once again they get to jump to a new manufacturing process for their next SoC, though this time by staying on the leading edge.


Planar vs. FinFET (3D) Transistors (via Intel)

While I’m not going to go into the physics of FinFET in depth here – we have some great articles on that already – I do want to quickly touch upon why this is so important. 14nm (and 16nm) FinFET isn’t just a new manufacturing node, but it’s part of a broader change in how transistors are manufactured. Simply put, FinFET (aka 3D transistors) are a long in development technology meant to help transistors scale to increasingly small scales, on the order of dozens of atoms or less.

FinFET transistors are necessary because as transistors get smaller their leakage (wasted power) goes up, and without FinFETs leakage would spiral out of control. In fact that’s exactly what happened on the 20nm nodes from Samsung and TSMC; both companies thought the leakage of planar transistors could be adequately controlled at 20nm, only for leakage to be a bigger problem than they expected. Due in large part to this reason, the 20nm SoCs released over the last 18 months have more often than not struggled with power consumption and heat, especially at higher clockspeeds. Apple is something of the exception here, with the 20nm A8 proving to be a solid SoC, thanks in part to their wide CPU design allowing them to achieve good performance without using high clockspeeds that would exacerbate the problem.

That said, while Apple managed to handle 20nm well enough, they were still ultimately at the mercy of a subpar process. The 14nm/16nm FinFET processes are what 20nm should have been all along, with the use of FinFETs drastically cutting down on leakage and reducing operating voltages –  and now that FinFETs are here Apple no longer has to be as conservative as they were with A8. What that gives Apple then is a chance to push the envelope much harder on clockspeeds, taking their already wide CPU designs and turning up the clockspeeds as well.



Dual Sourcing A9: Two for the Price of Two

Perhaps more remarkable than the fact that the A9 is built using a FinFET process however is who it is being built by. For the first time Apple is dual sourcing the SoC – rather than using TSMC or Samsung exclusively, they are using both.

Broadly speaking, dual sourcing is a practice that has fallen out of style as the number of contract semiconductor manufacturers has dwindled and the cost of chip production has gone up. Because each manufacturer has its own rules and own best practices, to dual source a chip involves designing it twice, once for each manufacturer. This has made the cost of dual sourcing increase over time, and consequently dual sourcing falling out of fashion.

This of course is a big part of what makes Apple’s decision to dual source so unexpected. Apple is taking a much bigger gamble this time around by dual sourcing than they have on past SoCs where it was produced by a single manufacturer (be it TSMC or Samsung). Dual sourcing means that Apple’s costs to tape-out and bring-up A9 have very nearly doubled; they have to tape-out each version of the A9 for the respective fab’s rulesets, and then they have to go through the bring-up process with each in order to dial-in the yields and clockspeeds. They at least get to reuse the underlying architecture (e.g. Twister CPU and their PowerVR GPU), but actually creating a chip design for each fab is a significant part of the development costs for A9.


Samsung vs. TSMC A9 Die Size (Image Courtesy Chipworks)

The end result then is two similar but not quite equal chips that are produced by TSMC and Samsung respectively. Both are A9s, both feature the same CPU, GPU, memory interface, and all of the other bits that make up an A9. But each is produced at a different fab, according to the rules of that fab.

One of the immediate ramifications of dual sourcing is that the die sizes of the A9s are different. The A9 produced by Samsung on their 14nm FinFET Process is the smaller of the two, at 96mm2. Meanwhile the A9 produced on TSMC’s 16nm FinFET process is 104.5mm2, making it about 9% larger. Though not an immense difference in size (and not that we’d expect otherwise) there are tradeoffs to be had. With all other things held equal, the larger TSMC die would produce fewer complete dies per 300mm wafer, and any given die is more likely to have an imperfection since there are fewer dies for the same number of imperfections. This gives the Samsung A9 a slight edge in manufacturing thanks to its better density, however it’s equally important to note that in the real world there are a number of factors at play here, including manufacturing yields at each fab and how much each fab is charging Apple, so while the Samsung A9 is the smaller A9 it isn’t necessarily the cheaper A9.

The bigger question on many minds is whether there’s a performance difference between the two A9s. We wrote a bit on the subject a few weeks back, and the short answer is that it’s very difficult to tell. Due to chip quality being a distribution no two phones utilizing the same A9 are the same, and that means just comparing any two phones can’t tell us the whole story. Ultimately what one needs is a large number of phones to find the distribution, the median of that distribution, and how the medians compare. This is something that if done perfectly would require thousands of phones, and is really only possible for Apple or the competitive analysis teams at their well-funded competitors.


Apple A9 Die Shots (Image Courtesy Chipworks Teardown Report)

At this point then we don’t have anything new to add to the discussion – we don’t have enough data – though it is still a matter we are working on. Sometimes the best thing we can do is say is when we don’t have enough information, rather than extrapolating too much from too little information. I will note however that it’s ultimately in Apple’s best interests for the A9s to be as similar as possible, and there are steps they can take to ensure that, particularly in selecting which chips they will use.


Current A9 Chip Manufacturer Distribution (Image Courtesy Hiraku)

Meanwhile looking at the data collected by iOS developer Hiraku’s CPU Identifier project, it’s interesting to note that of the 250K+ phones sampled so far, the Samsung A9 is in 63% of those phones, giving us a Samsung-to-TSMC ratio of nearly 2-to-1. This survey should not be considered the final word in the ratio between the two A9s since it can change over time and an opt-in survey of this fashion has an inherent self-selection bias, but with so many results it should be a reasonably accurate summary of the current situation.

What remains to be seen – and likely never to be answered outside the walls of One Infinite Loop – is why Apple dual sourced in the first place. We can certainly speculate on reasons they would do this – yield issues at a fab, a desire to avoid putting all of their eggs in one basket and giving one fab too much power, or even just wanting to ramp up A9 production quickly by doubling the number of fabs working on it. What is apparent however is that with Apple selling 48M iPhones in Q3’15 (note that the majority of these were not 6ses), A9 is a uniquely good candidate for dual sourcing. Apple sells enough iPhones that their large pile of cash aside they can absorb the cost of dual sourcing by spreading out the costs over tens of millions of high-margin chips, and if yields/supply were a factor in this decision then that’s all the more reason to dual source. This in turn makes me wonder if we’ll see Apple continue this strategy given their enormous volume, or if this was a one-time event due to the early nature of FinFET, leading to them settling on a single fab for the iPhone 7 launch.

Die Size: Hitting the Sweet Spot

Finally, before jumping into our discussion of the A9’s CPU and GPU, let’s talk about A9’s die size in a historical context. Unlike the transition from A7 to A8, Apple doesn’t get the advantage of a substantial transistor density improvement going from A8 to A9. To use TSMC as an example here (since they produced A8), their 16nm FinFET process is advertised as having 2x the density as their 28nm process, however compared to that same 28nm process their 20nm process had a 1.9x density advantage. In other words, the transition from 20nm HKMG planar to 16nm FinFET does not bring with it the same kind of density improvements we’ve seen in the last few generations.

In fact the only other time Apple has not had the advantage of a density improvement is the transition from A4 to A5, which saw Apple’s die sizes transition from what remains their smallest die to their largest die, all in a single generation. For A9 then Apple has to work smarter, as they can’t add a large number of transistors relative to A8 without ballooning A9’s die size outside of Apple’s sweet spot (and harming chip yields at the same time).

Apple SoC Evolution
  Die Size Transistors Process
A5 122m2 <1B 45nm
A6 97mm2 <1B 32nm
A7 102mm2 >1B 28nm
A8 89mm2 ~2B 20nm
A9 96mm2/104.5mm2 >2B 14nm/16nm

Consequently the A9s that we’re getting are surprisingly conservative. The TSMC A9 is 104.5mm2, some 17% larger than the TSMC A8. Meanwhile the Samsung A9 is the smaller of the two at 96mm2. The TSMC A9 is now Apple’s second-largest non-X SoC, but just barely so; it’s only 2.5mm2 larger than the A7. Otherwise with an average die size of 100mm2, this puts the A9 at the upper-bounds of Apple’s sweet spot.

Yet despite the limited gains in transistor density versus A8, Apple has managed to “bulk up” their SoC design by quite a bit. We’ll go over this in greater detail on the following pages, but of particular note is that Apple is now implementing what we believe to be a 6 core PowerVR GPU design, and Apple has significantly increased both the L2 and L3 cache sizes. Coupled with this is the jump to LPDDR4 (requiring more complex memory controllers) and numerous smaller improvements we’ll likely never learn about. The number of CPU cores remains unchanged at 2 however.


Chipworks' Initial Layout Analysis (Image Courtey Chipworks)


My Layout Analysis For A9 (Die Shot Courtesy Chipworks)

On a final note, now that we have die shots of both A9s from Chipworks, I must tip my hat towards Apple for releasing an accurate die shot of what we now know is the Samsung A9 in their iPhone 6s presentation. Up until now Apple has never released their own die shot of their SoCs, and in fact first-party die shots are becoming increasingly rare as a whole in the semiconductor industry. Consequently I had expected that Apple’s die shot was a fake, only to be far more impressed that it’s real. Furthermore despite the low resolution of the shot, Apple’s false color and contrast enhancements make it surprisingly clear where the CPU and GPU blocks are, and how many of each there are. This is a level of contrast that even the Chipworks shots can’t quite match this time around.



A9’s CPU: Twister

Taking a starring role in A9 is Twister, the latest generation ARMv8 AArch64 CPU core out of Apple. With Cyclone Apple made a clear leap to the front of the ARM CPU development pack, and since then they haven’t looked back. Still, in the next year they will be facing ARM’s own Cortex-A72 design along with Qualcomm’s own Kryo. As a result Apple needs to progress on the CPU performance front if only to maintain their lead over other ARM vendors.

For the launch of the Apple A8 last year, Apple put together the Typhoon CPU core. Even though Typhoon was for a non-S iPhone, Apple still managed to integrate some basic architectural optimizations that put it ahead of Cyclone. This was important because Typhoon would only reach 1.4GHz in phones – likely a trade-off imposed by the temperamental 20nm process – and as a result Apple needed their CPU architecture to carry the day.

However with the iPhone 6s, all of the stars are coming into alignment for Apple. On the one hand as this is an iPhone S release, even more is expected of them on the architectural side of matters. On the other hand between the power benefits of the FinFET processes and Twister’s place in Apple’s seeming 2-year cycle, Apple will get to run up the score twice: once with clockspeed and once with a more substantial architecture improvement.

In fact on the clockspeed front this is the biggest jump in CPU frequencies since Swift in the A6, where Apple went from an 800MHz ARM Cortex-A9 to the aforementioned custom Swift design at 1.3GHz. As a result Apple immediately gets to capitalize on a 450MHz (32.1%) clockspeed bump for Twister in the A9 versus the Typhoon-powered A8. That large of a clockspeed bump alone would be enough to give Apple a sizable performance boost, especially as competing designs are already at 2GHz+ and are unlikely to shoot much higher due to power concerns.

Apple has always played it conservative with clockspeeds in their CPU designs – favoring wide CPUs that don’t need to (or don’t like to) clock higher – so an increase like this is a notable event given the power costs that traditionally come with higher clockspeeds. Based on the underlying manufacturing technology this looks like Apple is cashing in their FinFET dividend, taking advantage of the reduction in operating voltages in order to ratchet up the CPU frequency. This makes a great deal of sense for Apple (architectural improvements only get harder), but at the same time given that Apple is reaching the far edge of the performance curve I suspect this may be the last time we see a 25%+ clockspeed increase in a single generation with an Apple SoC.

As for Twister’s architecture, there’s a story here as well. Relative to the Cyclone-to-Typhoon transition, Typhoon-to-Twister is a larger architectural upgrade for Apple as we’ll see. At the same time however it’s not on the level of Swift-to-Cyclone, nor would we expect it to be. Apple’s architecture, for lack of a better word, should be “stable” for the moment, which means Apple has plenty of room to optimize their designs without flipping the table and starting over.

Unfortunately I can tell you straight up that we’re only scratching the surface on the architectural side. Apple really doesn’t like talking about CPU architecture, and every time we poke at an Apple SoC they clamp down just a bit harder. At the end of the day Apple can’t hide everything about the SoC, but a Cyclone-like disclosure is likely not going to happen with Twister.

So with that out of the way, let’s start with a low-level look at Twister, and some of the attributes of the CPU design.

Apple Custom CPU Core Comparison
  Apple A8 Apple A9
CPU Codename Typhoon Twister
ARM ISA ARMv8-A (32/64-bit) ARMv8-A (32/64-bit)
Issue Width 6 micro-ops 6 micro-ops
Reorder Buffer Size 192 micro-ops 192 micro-ops
Branch Mispredict Penalty 16 (14 - 19) 9
Integer ALUs 4 4
Shifter ALUs 2 4
Load/Store Units 2 2
Addition (FP32) Latency 4 cycles 3 cycles
Multiplication (FP32) Latency 5 cycles 4 cycles
Addition (INT) Latency 1 cycle 1 cycle
Multiplication (INT) Latency 3 cycles 3 cycles
Branch Units 2 2
Indirect Branch Units 1 1
FP/NEON ALUs 3 (3 Add or 2 Mult) 3 (3 Add or 3 Mult)
L1 Cache 64KB I$ + 64KB D$ 64KB I$ + 64KB D$
L2 Cache 1MB 3MB
L3 Cache 4MB 8MB 4MB

In terms of execution width and reorder depth, we haven’t found anything to indicate that Twister is wider or deeper than Typoon, so the issue-width appears to still be 6 micro-ops while the out-of-order-execution reorder buffer remains at 192 micro-ops. A 6-wide design was and remains atypically large for a 64-bit ARMv8 design, and this is one of those “stable” aspects that is likely not to change anytime soon. As for the OoO reorder depth, contemporary experience is that deeper OoO reorder windows eat more power, in which case this is something that Apple may want to hold off on until they can’t pick up performance gains elsewhere.

What’s far more interesting is the branch prediction latency. While we don’t have Apple’s official numbers – that being where 16 and the 14-to-19 range originate from for Cyclone – our testing indicates that branch misprediction penalties are way down. The average misprediction penalty is just 9 cycles, significantly lower than the official or average misprediction penalties for Cyclone/Typhoon. Without more architectural information I don’t want to read into this too much – shorter penalties could imply a shorter pipeline – however at a minimum this means that Apple’s performance just got a lot better whenever they do miss a branch.

Meanwhile the number of FP/NEON units, Integer units, and Load/Store units is unchanged from Typhoon, but the performance of those ALUs has shifted, both for Integer and FP workloads. Twister still retires up to 3 FP32 additions per cycle, but the latency has dropped from 4 cycles to 3 cycles, which is all the more remarkable with Twister’s clockspeed boost (this brings the real-time latency from ~2.9ns to ~1.6ns). In fact FP32 multiplication latency is down as well, from 5 cycles to 4 cycles. Coupled with this, FP32 multiplication throughput on Twister is increased, indicating that it is now capable of retiring 3 FP32 mults per cycle, as opposed to 2 under Twister. As a result Twister should show some rather significant improvements in floating-point heavy workloads.

On the Integer side of matters on the other hand, things haven't changed nearly as much. Integer throughput and latency remain unchanged for addition and multiplication. However the shifters, which we rarely talk about, have been improved. All 4 integer pipelines can now also do shifts, up from 2 on Typhoon. Shifters are an important type of ALU resource, however unlike basic arthimetic operations it's a bit less obvious when it's in use, so while there will be performance benefits from this change it's not as easy to predict where we'll see them.

Finally, looking at Twister’s caches, while the L1 cache sizes remain untouched from Typhoon, Apple has managed to pack in larger caches for both the L2 and L3. The size of the L2 cache in particular has really ballooned, going from 1MB on Typhoon to 3MB on Twister. The benefit of growing this cache is that Apple now can store much more in the way of data and instructions closer to the Twister cores before going to L3, but the tradeoff is that cache access times typically go up a bit as it takes longer to find something in the cache.

The L3 cache meanwhile doesn’t see quite the same increase in size, and it is still 4MB in size. However now it is a victim cache rather than an inclusive cache (more info here). but it is now 8MB instead of 4MB, a solid doubling. As a reminder, this cache is shared between the CPU and GPU (among other blocks), so increasing this cache benefits both major parts of the SoC. However it’s also worth mentioning that as Apple is using an inclusive style cache here – where all cache data is replicated at the lower levels to allow for quick eviction at the upper levels – then Apple would have needed to increase the L3 cache size by 2MB in the first place just to offset the larger L2 cache. So the “effective” increase in the L3 cache size won’t be quite as great. Otherwise I’m a bit surprised that Apple has been able to pack in what amounts to 6MB more of SRAM on to A9 versus A8 despite the lack of a full manufacturing node’s increase in transistor density.

Looking at a plot of latency versus transfer size, it’s interesting to note that A9 once again improves on Apple’s cache latency. Even with the clockspeed increase Apple has not had to back off on cache access times, and as a result real-time cache latency is notably decreased versus A8 with both the L2 and L3 caches. At both levels we’re looking at cache access times 30-40% shorter than they were at A8 when hitting the respective cache, and of course A9 is far faster at the 1-3MB range where things can stay in A9’s L2 as opposed to going to A8’s L3.

Otherwise the boundary between the L3 cache and DRAM is a bit foggier than usual. We see latencies jump more rapidly at 8MB than we did on A8 at 4MB, but as the only other practical cache size is 6MB (where access times are still at L3 cache norms) then the most likely explanation is that cache pressure is a bit higher on the A9 versus the A8, making it harder for our test to grab all 8MB of L3 for itself.

Beyond that is the LPDDR4 DRAM, a first for an Apple SoC. The successor to LPDDR3, LPDDR4 is designed to further reduce the DRAM operating voltage from 1.2v to 1.1v while increasing the total bandwidth available. Do note however that the internal frequency of LPDDR4 isn’t changed versus LPDDR3, and as a result LPDDR4 latency will be similar (if not a bit worse) than LPDDR3 at the same internal frequency.

For A9 Apple is using 2GB of LPDDR4-3200, which compared to the LPDDR3-1600 used in Apple’s A8 immediately doubles their effective bandwidth. The real-world memory bandwidth increase won’t be quite that high – in part due to the fact that memory latencies haven’t really changed – but LPDDR4 still delivers a true generational increase in memory bandwidth that today’s bandwidth-starved SoCs have badly needed.

Geekbench 3 Memory Bandwidth Comparison (1 thread)
  Stream Copy Stream Scale Stream Add Stream Triad
Apple A9 1.85GHz 13.9 GB/s 9.41 GB/s 10.4 GB/s 10.4 GB/s
Apple A8 1.4GHz 9.08 GB/s 5.37 GB/s 5.76 GB/s 5.78 GB/s
A9 Advantage 53% 75% 81% 80%

Taking a quick look at GeekBench 3’s synthetic memory benchmark, we immediately see some sizable increases across all 4 sub-tests. Overall the increase in measured bandwidth is between 53% and 81%, with the blended Triad sub-test giving us 80%. Ultimately this test involves large sequential memory accesses – the kind of operations best suited for LPDDR4 – so CPU performance increases from LPDDR4 likely won’t be nearly as great (especially if the caches are doing their job). On the other hand those are exactly the kind of operations that GPUs are known for, so there is clearly plenty of new headroom to feed the beast that is A9’s GPU.

Moving on, now that we’ve seen what Twister and A9 are at like at a low-level, let’s see what this does for our collection of high-level benchmarks.

For our first high level benchmark we turn to SPECint2000. Developed by the Standard Performance Evaluation Corporation, SPECint2000 is the integer component of their larger SPEC CPU2000 benchmark. Designed around the turn of the century, officially SPEC CPU2000 has been retired for PC processors, but with mobile processors roughly a decade behind their PC counterparts in performance, SPEC CPU2000 is currently a very good fit for the capabilities of Typhoon and Twister. And as a brief aside, for those of you wondering about SPEC CPU2006, one of the 64-bit tests still doesn’t fit in the approximately 1.8GB of usable user-space RAM on the A9; so while we can use parts of 2006, it will be one final increase in memory before we can use the complete set.

Anyhow, SPECint2000 is composed of 12 benchmarks which are then used to compute a final peak score. Though in our case we’re more interested in the individual results.

SPECint2000 - Estimated Scores
  A9 A8 % Advantage % Architecture Advantage
164.gzip
1191
842
41%
9%
175.vpr
2017
1228
64%
32%
176.gcc
3148
1810
74%
42%
181.mcf
3124
1420
120%
88%
186.crafty
3411
2021
69%
37%
197.parser
1892
1129
68%
35%
252.eon
3926
1933
103%
71%
253.perlbmk
2768
1666
66%
34%
254.gap
2857
1821
57%
25%
255.vortex
3177
1716
85%
53%
256.bzip2
1944
1234
58%
25%
300.twolf
2020
1633
24%
-8%

Across the board, SPEC scores are way, way up. Even the smallest gain with twolf is at 24%, while at the top-end is mcf with a whopping 120% performance gain. Otherwise in the middle the average gain is closer to 60%.

Meanwhile I also took the liberty of recomputing the performance advantage after factoring out the A9’s 450MHz (31%) clockspeed advantage, which gives us something much closer to a pure architectural look at performance. In that case other than a theoretical regression on twolf – its performance gain was less than the clockspeed advantage to begin with – the average performance gain is still around 30%. To frame that for comparison, the average gain from A7 to A8, including the 100Mhz clockspeed bump, was still less than that at around 20%. So even without a clockspeed increase A9 already shows significant performance improvements from architectural and cache changes, and this only gets much better with the clockspeed increase.

As for the individual scores, it’s worth nothing that with Typhoon/A8, branch-heavy tests didn’t see too much of an uplift, which is not the case here and likely owing to the reduced penalty on mispredictions. At the low-end of the scale twolf and gzip show the fewest gains, and both of which are bound by the fact that the most basic execution resources (e.g. load/store and integer addition) haven’t seen significant architecture improvements. Otherwise at the other end of the spectrum is mcf, which contains a large dataset and is likely a beneficiary of the larger caches and the much faster LPDDR4 memory.

Our other set of comparison benchmarks comes from Geekbench 3. Unlike SPECint2000, Geekbench 3 is a mix of integer and floating point workloads, so it will give us a second set of eyes on the integer results along with a take on floating point improvements.

Geekbench 3 - Integer Performance
  A9 A8 % Advantage % Architecture Advantage
AES ST
1044.4 MB/s
992.2 MB/s
5%
-27%
AES MT
2.29 GB/s
1.93 GB/s
19%
-13%
Twofish ST
100.1 MB/s
58.8 MB/s
70%
38%
Twofish MT
191.5 MB/s
116.8 MB/s
64%
32%
SHA1 ST
872.1 MB/s
495.1 MB/s
76%
44%
SHA1 MT
1.64 GB/s
0.95 GB/s
73%
40%
SHA2 ST
170.1 MB/s
109.9 MB/s
55%
23%
SHA2 MT
330.7 MB/
219.4 MB/
51%
19%
BZip2Comp ST
7.15 MB/s
5.24 MB/s
36%
4%
BZip2Comp MT
14.1 MB/s
10.3 MB/s
37%
5%
Bzip2Decomp ST
11.8 MB/s
8.4 MB/
40%
8%
Bzip2Decomp MT
22.5 MB/s
16.5 MB/s
36%
4%
JPG Comp ST
27.4 MP/s
19 MP/s
44%
12%
JPG Comp MT
54.4 MP/s
37.6 MP/s
45%
13%
JPG Decomp ST
73.1 MP/s
45.9 MP/s
59%
27%
JPG Decomp MT
141.0 MP/s
89.3 MP/s
58%
26%
PNG Comp ST
1.65 MP/s
1.26 MP/s
31%
-1%
PNG Comp MT
3.23 MP/s
2.51 MP/s
29%
-3%
PNG Decomp ST
24.8 MP/s
17.4 MP/s
43%
10%
PNG Decomp MT
46.5 MPs
34.3 MPs
36%
3%
Sobel ST
113.7 MP/s
71.7 MP/s
59%
26%
Sobel MT
216.6 MP/s
137.1 MP/s
58%
26%
Lua ST
2.64 MB/s
1.64 MB/s
61%
29%
Lua MT
4.95 MB/s
3.22 MB/s
54%
22%
Dijkstra ST
8.46 Mpairs/s
5.57 Mpairs/s
52%
20%
Dijkstra MT
15.6 Mpairs/s
9.43 Mpairs/s
65%
33%

Compared to SPEC, Geekbench’s sub-tests are all over the place, especially once we factor out the clockspeed increase. CPU AES performance on A9 surprisingly sees a minimal improvement over A8 even with the clockspeed increase. Otherwise we see a couple of other tests where the performance gains were limited to the clockspeed increase, and other tests still where performance significantly improves even at an architectural level. This is a good reminder that in the real world not all applications will benefit from A9/Twister to the same degree as the “best” applications have.

Geekbench 3 - Floating Point Performance
  A9 A8 % Advantage % Architecture Advantage
BlackScholes ST
11.9 Mnodes/s
7.85 Mnodes/s
52%
19%
BlackScholes MT
23.3 Mnodes/s
15.5 Mnodes/s
50%
18%
Mandelbrot ST
1.83 GFLOPS
1.18 GFLOPS
55%
23%
Mandelbrot MT
3.56 GFLOPS
2.34 GFLOPS
52%
20%
Sharpen Filter ST
1.69 MFLOPS
0.98 GFLOPS
72%
40%
Sharpen Filter MT
3.32 MFLOPS
1.94 MFLOPS
71%
39%
Blur Filter ST
2.22 GFLOPS
1.41 GFLOPS
57%
25%
Blur Filter MT
4.33 GFLOPS
2.78 GFLOPS
56%
24%
SGEMM ST
5.64 GFLOPS
3.83 GFLOPS
47%
15%
SGEMM MT
10.8 GFLOPS
7.48 GFLOPS
44%
12%
DGEMM ST
2.76 GFLOPS
1.87 GFLOPS
48%
15%
DGEMM MT
5.24 GFLOPS
3.61 GFLOPS
45%
13%
SFFT ST
2.83 GFLOPS
1.77 GFLOPS
60%
28%
SFFT MT
5.68 GFLOPS
3.47 GFLOPS
64%
32%
DFFT ST
2.64 GFLOPS
1.68 GFLOPS
57%
25%
DFFT MT
4.98 GFLOPS
3.29 GFLOPS
51%
19%
N-Body ST
1150 Kpairs/s
735.8 Kpairs/s
56%
24%
N-Body MT
2.27 Mpairs/s
1.46 Mpairs/s
55%
23%
Ray Trace ST
4.16 MP/s
2.76 MP/s
51%
19%
Ray Trace MT
8.15 MP/s
5.45 MP/s
50%
17%

Floating point performance improvements on Geekbench on the other hand are far more consistent. Everything is positive and in the double-digits even after factoring out the clockspeed increase, and with it nothing is less than 44% faster. The architectural improvements to FP32 performance we discussed earlier – lower addition/multiplication latency and the ability to fill all 3 NEON pipes with multiplication operations – give Twister a solid foundation for improved floating point performance.

Wrapping things up, we’ll see the full impact of Twister and Apple’s shift to LPDDR4 in our full look at system performance. But in a nutshell A9 and Twister are a very potent update to Apple’s CPU performance, delivering significant performance increases from both architectural improvements and from clockspeed improvements. As a result the performance gains for A9 relative to A8 are very large, and although Twister isn’t Cyclone, Apple does at times come surprisingly close to the kind of leap ahead they made two years ago. A8 and Typhoon already set a high bar for the industry, but A9 and Twister will make chasing Apple all the harder.

At this point we also have to start looking at not only who is chasing Apple, but who Apple is chasing. With yet another round of architectural improvements and a clockspeed approaching 2GHz, comparing Apple’s CPU designs to Intel’s is less rhetorical than ever before. By the time we get to iPad Pro and can start comparing tablets to tablets, we may need to have a discussion about how Twister and Skylake compare.



A9's GPU: Imagination PowerVR GT7600

With so much time spent talking about A9 from the perspective of its manufacturing process and its Twister CPU, it’s all too easy to forget that Apple has been working on far more under the hood than just CPU performance. As has been the case for generations now, Apple continues to focus on GPU performance, laying the groundwork for significant performance improvements with every generation.

Going all the way back to the first iPhone and its Samsung-developed SoC, Apple has been a patron of Imagination Technologies and their PowerVR GPUs. This has been a productive relationship for both parties, and for A9 this hasn’t changed. To no surprise then, the GPU in the A9 is another design in Imagination’s PowerVR Rogue family, the GT7600.

Briefly, while Apple continues to not disclose the GPU used in their designs – referring to the A9’s GPU as iOS GPU Family 3 v1 – a look at the iOS Developer Library makes it clear what GPU family is being used. Apple still uses tile-based deferred rendering GPUs (to which only PowerVR fits the description), so the only real questions are which family is in use and how many cores are present.

With A8 and its GX6450, there was a pretty clear smoking gun to identify the GPU family via the inclusion of ASTC support, a feature only available on Series 6XT and newer GPUs. There aren’t any such smoking guns on the A9, but the Metal Feature tables indicate that there are a handful of new low-level features which are indicative of a newer revision of the PowerVR Rogue architecture. Coupled with the fact that Imagination announced PowerVR Series 7 nearly a year ago and Apple has proven to be able to implement a new PowerVR design in under a year, and it’s a safe bet that A9 is using a Series 7 design.

As for the configuration, the A9 die shot quickly answers that one. There are 6 distinct GPU cores on the A9 die, divided up into 3 pairs with a shared texture unit in between them. So it may have taken Apple a generation longer than I initially expected, but with A9 we’re finally looking at a 6 core GPU design for the iPhone.

From a feature and design standpoint then, the GT7600 is not a significant departure from the GPUs in the A8 and A7 SoCs, however it does have some notable improvements along with some optimizations to boost performance across the board. Notably, relative to the GX6450 it features a geometry tessellation co-processor as a base feature, a function that was merely optional on Series6XT and, at least in Apple’s case not used. Unfortunately, looking through Apple’s developer documentation it does not appear that tessellation support has been added for Metal, so assuming for the moment that Apple hasn’t stripped this hardware out, they definitely don’t have API support for it.

Otherwise the bulk of Imagination’s focus has been on small tweaks to improve the Rogue architecture’s overall efficiency. Among these, the Special Function Units can now natively handle FP16 operations, saving power versus the all-FP32 SFUs of Series6XT. SFU operations can now also be co-issued with ALU operations, which improves performance when SFUs are being issued (which in Imagination’s experience, has been more than expected). Finally, the Vertex Data Master (geometry frontend), Compute Data Master (compute frontend), and the Coarse Grain Scheduler have all been updated to improve their throughput, and in the case of the scheduler improving its ability to keep USCs from stalling on tile-interdependencies.

Looking at the broader picture, after initially being surprised that Apple didn’t jump to a 6 core design with A8, with A9 it makes a lot of sense why they’d do it now. GPUs have and continue to be the biggest consumers of memory bandwidth in high-performance SoCs, to the point where Apple has outfit all of their tablet-class SoCs with a wider 128-bit memory bus in order to feed those larger GPUs. Conversely, a 64-bit memory bus with LPDDR3 has always represented a memory bandwidth limit that would bottleneck a more aggressive GPU design. With the move to LPDDR4 however, Apple has doubled their memory bandwidth, and coupled with the larger L3 cache means that they now have the means to effectively feed a larger 6 core GPU.

Overall then, between the 50% increase in the number of GPU cores, Imagination’s architectural efficiency improvements, Apple’s own implementation optimizations, and what I don’t doubt to be at least a decent increase in the clockspeed of the GPU, Apple is promoting that A9 should see an incredible 90% increase in GPU performance relative to A8. And as we’ll see in our performance benchmarks, they are more than capable of delivering on that promise.

Mobile SoC GPU Comparison
  PowerVR SGX 543MP3 PowerVR G6430 PowerVR GX6450 PowerVR GT7600
Used In iPhone 5 iPhone 5s iPhone 6 iPhone 6s
SIMD Name USSE2 USC USC USC
# of SIMDs 12 4 4 6
MADs per SIMD 4 32 32 32
Total MADs 48 128 128 192
Theoretical
GFLOPS @ 300MHz
28.8 GFLOPS 76.8 GFLOPS 76.8 GFLOPS 115.2 GFLOPS
Pixels/Clock N/A 8 8 12
Texels/Clock N/A 8 8 12


System Performance

One of the more popular and pervasive beliefs in this industry is that specs increasingly don’t matter. In a lot of ways, this review isn’t really the right place to address whether or not this matters, but the short answer is that things like SoC performance matter quite a bit. Outside of the display, the SoC and RF subsystems are one of the biggest power consumers in a phone today and unlike the display or RF systems the CPU and GPU can cause short spikes of enormous power consumption. At this point, we’ve seen SoCs this year that consume anywhere between 6 to over 12 watts when faced with a full load situation. The important part here is that when an SoC uses that much power, it needs to be delivering enough performance to justify the power consumption. In order to test aspects of the phone like the SoC we use our standard suite of benchmarks, which are designed to test various real-world scenarios to get an idea of what peak performance looks like.

Kraken 1.1 (Chrome/Safari/IE)

Google Octane v2  (Chrome/Safari/IE)

WebXPRT 2013 (Chrome/Safari/IE)

WebXPRT 2015 (Chrome/Safari/IE)

In the standard web browser benchmarks, the iPhone 6s and iPhone 6s Plus are clearly in the lead. The difference in some cases is significant, but given that the benchmarks that we’re running here are all enormous optimization targets it's still a reasonable comparison point. In the interest of trying to avoid optimization targets I decided to look at some new JavaScript benchmarks that aren’t regularly used right now. One interesting benchmark is Ember Performance, which is a JavaScript app framework that is used in a number of popular websites and applications. This isn’t as popular as AngularJS at the moment, but in the absence of a good mobile benchmark EmberJS should be a reasonably good proxy.

EmberJS (Chrome/Safari/IE)

In this benchmark, we can see that there’s a pretty enormous performance uplift that results when you compare the iPhone 6s' to anything else out there on the market. Weirdly enough, on average it looks like Samsung’s S-Browser ends up slower here than Chrome, but it’s likely that this is just because S-Browser is using an older build of Chromium which negates the advantages of platform-specific optimizations that Samsung is integrating into S-Browser.

Basemark OS II 2.0 - Overall

Basemark OS II 2.0 - System

Basemark OS II 2.0 - Memory

Basemark OS II 2.0 - Graphics

Basemark OS II 2.0 - Web

Looking at Basemark OS II, once again Apple is basically taking the lead across the board. The differences aren’t necessarily as enormous as they are in single-threaded browser benchmarks, but the iPhone 6s’ retain a significant overall performance lead over the next best mobile devices.

Overall, in benchmarks where CPU performance is a significant influence the iPhone 6s is pretty much at the very top of the stack. Of course, Apple has also had about 6-8 months of time since the launch of SoCs like the Snapdragon 810 and Exynos 7420 so this is at least partially to be expected. The real surprise and/or disappointment would be if future Exynos and Snapdragon SoCs continue to lag behind the A9 in CPU performance.



System Performance Cont'd

Moving on towards our more GPU-bound workloads, we use our standard test suite of benchmarks like GFXBench and 3DMark to get a good idea for performance. Unfortunately, due to the move to iOS 9 the Unity engine version used in Basemark X is no longer working so for now we’re left with 3DMark and GFXBench. There is also Basemark OS II’s graphics test, but this is embedded in a larger benchmark with CPU and storage performance tests.

3DMark 1.2 Unlimited - Overall

3DMark 1.2 Unlimited - Graphics

3DMark 1.2 Unlimited - Physics

As always with 3DMark, there are some issues in the data structures used. Due to the data dependencies present within the physics test, it is necessary for the CPU to stall for data to be committed to memory before continuing on to the next portion of the test instead of executing instructions in parallel. This strongly reduces the practical performance of the CPU because the architecture is primarily focused upon instruction-level parallelism to deliver major performance gains. However, due to the strong showing in graphics performance the iPhone 6s’ still manage to take the lead.

GFXBench 3.0 Manhattan (Onscreen)

GFXBench 3.0 T-Rex HD (Onscreen)

GFXBench 3.0 Manhattan (Offscreen)

GFXBench 3.0 T-Rex HD (Offscreen)

In GFXBench, the A9 SoC just shows absurd performance. It’s strange to think about how the iPad Air 2’s GPU seemed incredibly quick at the time but with the A9 Apple has surpassed that level of performance in their smartphone SoCs. The move to a new generation of PowerVR GPU IP, in addition to the move to a FinFET process node are really the drivers for this kind of performance improvement.

Overall, the Apple A9 SoC is the best SoC in any phone shipping today. In cases like web browsing, gaming, and even just going through the UI it’s quite evident that this new SoC is a major factor in improving performance and smoothness across the board. Something as simple as visiting some popular tech websites will show this, which really goes to show how much “specs” still matter due to their influence on user experience.

NAND Performance

At this point is almost goes without saying that storage performance is important, but in a lot of ways the testing here is still in its early days. In the case of the iPhone 6s we’ve discussed what distinguishes its storage solution from others in this industry, but for those that are unaware the iPhone 6s uses PCIe and NVMe instead of a UFS or eMMC storage solution. In a lot of ways, this makes the storage on board closer to the SSD that you might find in a more expensive PC but due to PCB limitations you won’t necessarily see the enormous parallelism that you might expect from a true SSD. In the time since the initial results we've found that all of our review units use Hynix-supplied NAND. In order to test how this storage solution performs, we use Eric Patno’s storage test which allows for a simple storage test comparable to AndroBench 3.6.

Internal NAND - Sequential Read

Internal NAND - Sequential Write

Internal NAND - Random Read

Internal NAND - Random Write

Here, we can really see the enormous performance improvements that result from a combination of TLC NAND with an SLC cache, along with the new NVMe protocol which allows for low CPU overhead and removes architectural bottlenecks to storage performance. This should allow for things like faster burst photos and faster app updates. Downloading and updating apps on the iPhone 6s feels noticeably faster than it is on the iPhone 6, to the extent that small apps feel like they install almost instantly when I’m on a WiFi connection fast enough to saturate storage bandwidth.



Battery Life

With any smartphone, battery life is always one of the most important considerations. It probably goes without saying that more battery life is always better. In the case of the iPhone 6s, battery life is especially important to examine because this year Apple has actually made the battery of their phone smaller than before. Normally, it’s almost a given that battery size will be at least constant or increase but in order to accommodate additional components like the Taptic engine the battery has been made smaller. In light of this reversal one might assume that battery life has decreased as a result, but given the move to a FinFET process and other major component improvements it’s hard to say how battery life has increased or decreased. In order to test this properly, we ran the iPhone 6s’ through our suite of battery life tests to try and get an idea for overall battery life. As always, we run all battery life tests with the display set to an average of 200 nits and all possible background tasks disabled.

Web Browsing Battery Life (WiFi)

Our first test is WiFi web browsing, and here we see a pretty curious trend. The iPhone 6s Plus appears to regress relative to the iPhone 6 Plus, yet the iPhone 6s solidly beats the iPhone 6. I was pretty confused by this, but it’s likely that we’re seeing these results because the iPhone 6s is going to have larger relative power consumption of the SoC when compared to the display. As the display becomes bigger, the effects of SoC efficiency are just harder to notice, which also explains why it looks like the iPad Air 2 has effectively the same battery life whether you’re running just a blank display or our web browsing test. Both of our review units use a TSMC A9, so I don’t think we can attribute a foundry difference to the odd results that we’re seeing here.

Web Browsing Battery Life (4G LTE)

In LTE web browsing, we see a smaller improvement than what we observed in the WiFi test. This might seem strange, but given that the move from a 28nm process to a 20nm process on the modem from iPhone 6 to 6s didn’t come with FinFET it makes sense that the modem will remain a significant power drain. It’s likely that the next big jump in battery life here will come with FinFET process technology on the modem, along with other general modem design improvements.

In order to also look at battery life in more extreme scenarios, we use Basemark OS II and GFXBench to really place a strong stress on the CPU and GPU to see what power draw is like under sustained load. This also allows us to see the extent to which various components of the phone throttle down in response to relatively high sustained loads. However, it’s important to note that the Basemark OS II performance score here isn’t necessarily as accurate as scores from Android devices as the battery score can be calculated with 98 data points instead of 80 as battery score is partially derived from the rate at which the battery percentage decreases. It's also worth noting that in GFXBench there are two data points removed as the low power popup causes an incorrect frame rate to be recorded.

BaseMark OS II Battery Life

BaseMark OS II Battery Score

In Basemark OS II, we start off with a pretty shocking result as the iPhone 6s lasts less than three hours in this test, but looking at the battery score it’s pretty clear that the reason why the iPhone 6s doesn't last very long is because it’s running with relatively little throttling throughout the test, so the battery score is high as a result. The same is true of the iPhone 6s Plus, but the larger battery helps it to last a bit longer.

GFXBench 3.0 Battery Life

GFXBench 3.0 Performance Degradation

Looking at GFXBench, which is an infinite loop of the T-Rex on-screen benchmark to approximate intensive video gaming we see that the iPhone 6s doesn’t last very long either, but the performance throughout the test is incredible. Due to 1334x750 display resolution and strong GPU, the iPhone 6s manages to last the entire test without any notable throttling, and effectively pegged at the refresh rate of the display. The iPhone 6s Plus manages a similar level of performance but over time you can start to see some throttling, likely a function of the longer runtime and higher display resolution. It’s interesting to see how in the space of two years just how much progress has been made here in terms of improving GPU performance and efficiency, as when we first ran this test it was probably one of the most stressful tests out there for any smartphone or tablet.

Charge Time

Of course, while battery life is usually the main determinant of overall mobility it’s often important to consider charge time. A phone that charges slowly can be much less mobile than another phone, even if it has better battery life. In the case of the iPhone 6s’, it seems that Apple continues to ship the standard 5W charger that they have for many generations in the smartphone industry. In order to see how this charger and phone combination performs, we use our usual methods of timing the phone from fully discharged to fully charged.

Charge Time

Here, the iPhone 6s and 6s Plus both show a decent improvement over the iPhone 6 and 6 Plus, but not enough to make an significant difference. The iPhone 6s charges at a pretty reasonable rate, but the iPhone 6s Plus really does need a more powerful charger than it does now. You can use an iPad charger to bring things back up to speed but getting this means that you either have to have an iPad already or you have to go out and buy an iPad charger, which is on the annoying side when Android OEMs generally include fast chargers in the box.



Camera Architecture

As usual, it’s important to discuss some of the basics of the camera hardware before we move on to actual image and video quality tests in order to better understand the factors that can affect overall camera quality. Of course, there’s much more to this than meets the eye but for the most part things like the actual lenses used are hard to determine without a device teardown.

Apple iPhone Cameras
  Apple iPhone 6
Apple iPhone 6 Plus
Apple iPhone 6s
Apple iPhone 6s Plus
Front Camera 1.2MP 5.0MP
Front Camera - Sensor ?
(1.9 µm, 1/5")
?
(1.12 µm, 1/5")
Front Camera - Focal Length 2.65mm (31mm eff) 2.65mm (31mm eff)
Front Camera - Max Aperture F/2.2 F/2.2
Rear Camera 8MP 12MP
Rear Camera - Sensor Sony ???
(1.5 µm, 1/3")
Sony ???
(1.22 µm, 1/3")
Rear Camera - Focal Length 4.15mm (29mm eff) 4.15mm (29mm eff)
Rear Camera - Max Aperture F/2.2 F/2.2

At a high level, not a whole lot changes between the iPhone 6s and iPhone 6. The aperture remains constant, as does the focal length. Sensor size is also pretty much unchanged from the iPhone 6 line. Unfortunately, the iPhone 6s continues the trend of not having OIS, which has significant effects on low light photo and all video recording. Of course, OIS alone isn’t going to make or break a camera, but it can make the difference between a competitive camera and a class-leading one.

I’m sure some are wondering why the aperture hasn’t gotten wider or why the sensor hasn’t gotten larger, and it’s likely that attempting to make a wider aperture or a larger sensor would have some significant knock-on effects. A wider aperture inherently means that distortions get worse, as even in simple cases like chromatic aberration the incoming light is now reaching the lenses at a more extreme angle. A larger sensor with all else equal would significantly increase thickness, which is already near acceptable limits for the iPhone 6s camera module. Even if you modified the lens design to focus on z-height, the end result is that the focal length is shortened significantly. Even if you don’t think a wider field of view is a problem, distortion throughout the photo increases which is likely to be unacceptable as well.

In effect, the major changes here are pixel size/resolution and the ISP, which is a black box but is new for the A9 SoCs as far as I can tell. Instead of the 1.5 micron pixel size we’ve seen before, Apple has moved to a 1.22 micron pixel size for the iPhone 6s and 6s Plus. There’s been a perennial debate about what the “right” pixel size is, and some of the research I’ve done really indicates that this changes with technology. For the most part, noise from photos taken with strong, even lighting is solely due to the fact that light is composed of discrete photons. This shot noise is an unavoidable fact of life, but in low light the problem is that the sensor’s inherent noise becomes noticeable which is affected by factors like the sensor die temperature. The problem here is that in CMOS sensors each pixel has circuitry which independently converts the number of electrons counted into a corresponding voltage, which means that for the same sensor size, if you increase the number of pixels you’re also increasing the amount of read noise.

As a result, while in theory a smaller pixel size (up to a certain limit) has no downsides, in practice due to the way CMOS image sensors are made you have to trade-off between daytime and low light image quality. Apple claims that their way of avoiding this trade-off is through the use of new technology. One of the key changes made here is deep trench isolation, which we’ve seen in sensors like Samsung’s ISOCELL. This basically helps with effects like electron tunneling which causes a photon that hits one sensor to be detected at another. The iPhone 6s’ image sensor also has modifications to the color filter array which are designed to reduce sensor thickness requirements by increasing the chief ray angle.

Camera UX

Moving on to the camera UI, iOS has basically kept the same UI that we’ve seen since iOS 7. There’s nothing that I really have to complain about given the relative simplicity and the lack of any notable usability issues here. The one major change I’ll mention here is the Live Photos button, which illuminates and indicates when the camera is capturing a live photo. The one usability problem worth noting here is that the camera doesn’t stop capturing a live photo even when the camera is lowered, so live photos often just show the ground or some fingers towards the end. Otherwise, the experience is exactly like a normal photo.

Other than the addition of the live photos button, there are some subtle additions to the camera UI due to the addition of 3D Touch. Peeking on the image shows the last 20 images captured on the phone, and popping will open up the gallery in an interesting dark theme mode which is slightly odd and inconsistent but otherwise a nice addition. A force touch on the camera app icon allows quick access to some common modes without extra actions after opening the camera application.

Of course, the other question that still lingers is how fast the iPhone camera is. In order to test this, we continue to use our ISO chart with strong studio lighting in order to get an idea for what the best case focus and capture latency are. As the ISO chart is an extremely high-contrast object, this test avoids unnecessarily favoring phase-detect auto focus and laser AF mechanisms relative to traditional contrast-detect focusing.

Camera Focus Latency (Shooting ISO 12233 Target)

When it comes to focus latency, the iPhone 6s is basically identical to the iPhone 6. At this point, we're basically looking at variance in testing as a 64ms difference is only 4 frames on the display. Something as simple as a small difference in initial focus position is going to affect the result here, because the iPhone 6s traverses straight to the correct focus position in testing. Pretty much every other smartphone is behind here because they all seem to traverse past the correct focus point before reverting to verify that PDAF or laser AF is giving an accurate result.

Camera Shot Latency (Shooting ISO 12233 Target)

In the shot latency test, we're really seeing the value of Apple's NVMe mobile NAND solution here as the iPhone 6s captures a single image in roughly 200 ms less time than the iPhone 6. Of course, this is assuming a situation in which shutter speed isn't the dominating factor in shot latency so in low light these differences are going to be hard to spot.

Live Photos

Live Photos is a new feature in the iPhone 6s, which is effectively trying to capture a moment within a photo. At a technical level, Live Photos captures a photo and a video simultaneously, with the video lasting up to three seconds. The first half of the video is going to be the moment immediately before the shutter is tapped, and the second half is right after the shutter is tapped. The video has a resolution of 1440x1080 to fit the 4:3 aspect ratio, and appears to vary in frame rate from about 12 to 15 FPS, with a bitrate of roughly 8Mbps and H.264 high profile encoding.

These are all technical details, but really what matters here is that the frame rate is relatively low so it isn’t necessarily the greatest at capturing something that is going to pass through the frame within a second. It’s likely that this is at least partially necessarily in order to make sure that Live Photos don’t take up a huge amount of storage. Similarly, I suspect this is the same logic behind why the resolution is closer to a video than a photo. The frame rate is low enough though that low light photos aren’t going to be limited by the need to keep the video at an acceptable frame rate. This is important to note, mostly because the whole point of a live photo is kind of ruined if you have to turn it on to use it.

Ultimately, with these features it is insufficient to focus on the technical details of the implementation, even if they matter. What really matters here is the user experience, and to that end Live Photos solves a lot of the friction that was present with HTC’s Zoes. I loved the idea of Zoes when I first got the HTC One M7, but after a few months I found I just wasn’t using the feature because it was too much effort to try and pre-emptively plan for a shot that would work well as a Zoe. It was also difficult to deal with the fixed recording time, a higher minimum shutter speed in low light, and the need to keep the phone raised for the entire time the Zoe was recording.

In some ways, Apple has solved these problems with Live Photos. It’s fully possible to keep the mode enabled all the time, and with the recent release of iOS 9.1 it seems Apple has implemented an algorithm to dynamically alter the length of recording based upon whether the camera is suddenly lowered in the middle of recording. Due to the relatively low frame rate there’s also no need to worry about worse low light performance or something similar, which helps with keeping the feature enabled all the time even if it means that motion isn’t has fluent as it would be with a 30 or 60 FPS video. The end result is that you can basically just take photos like usual and serendipitously discover that it resulted in a great live photo.

I really like the idea of Live Photos, and in practice I had a lot of fun playing with the feature to capture various shots to see the results. Even though I’ve spent plenty of time with the iPhone 6s I still don’t know whether I’ll actually continue using the feature in any real capacity as I definitely used HTC’s Zoe feature for the first few weeks that I spent with the One M7 but as time went on I promptly forgot that it ever existed.



Still Image Performance

Now that we’ve discussed the user experience and still image quality we can start to compare the end result after taking photos. For those unfamiliar with how we test photos, we rely on a combination of controlled lighting tests and relative real-world comparative tests. In the interest of better-controlled testing I’m hoping to make a move towards more controlled testing in the future but for now relative comparisons in mostly identical conditions should give a pretty good idea for what to expect from a camera.

Looking at our standard resolution test, the iPhone 6s has visibly more detail than the iPhone 6, but we're basically looking at the ideal case here. Both phones have some noticeable haloing around high-contrast edges to enhance perceived sharpness but the iPhone 6s manages to keep smoother edges in the image and less distortion of the closely spaced line pairs in the center of the image. Given how subtle some of these changes are I suspect that in real-world situations it would be difficult to tell the difference between the iPhone 6s and iPhone 6 for overall image detail.

Daytime Photography

Our first real-world test is a daytime shot. Compared to something like the iPhone 6 in this test, oddly enough there isn’t a huge improvement, which suggests that either that noise reduction is reducing overall detail in the image or that the optics are actually the limiting factor in resolution. The Note 5 does hold an advantage here by virtue of larger sensor size and just more pixels to work with. However when compared to some of the other phones on the market like the One M9 Apple actually manages to produce a better image despite their sensor size deficit and pixel count deficit.

The same scene with HDR enabled shows that Apple is relatively conservative when it comes to how strong they make their HDR effects. Once again something like the Note 5 is clearly better here when it comes to detail and overall dynamic range, but Samsung does push a bit too far when you look at some shadows as the trees in the left half of the image have noticeable color artifacts. Interestingly enough, LG is the clear leader here when it comes to dynamic range and detail but they do have a problem with some excessive sharpening and some color artifacts when we look at some really extreme long distance detail. Apple does need to improve HDR here, as the latency for an HDR photo is quite long and the difference between HDR on and off is rather subtle in situations where it shouldn't be subtle.

Low Light Scene 1

Moving on to the same scene shot in low light, it’s almost kind of shocking to say this but the iPhone 6s is better than the iPhone 6 here in low light. However, this does come at the cost of more visible luminance noise which suggests that we’re looking mostly at differences in post-processing. The same is true of the iPhone 6s Plus when compared to the iPhone 6 Plus, which is kind of surprising but given that in low light we’re mostly limited by the sensor rather than the available light it makes sense that sensor-level improvements eliminate the disadvantages associated with small pixel sizes.

Relative to the Galaxy Note 5, the iPhone 6s Plus is pretty close here but the Note 5 does have an edge in detail. However, the post-processing has noticeably more artifacts such as the odd streak on the left side of the image which is due to stray light. The iPhone 6s Plus also does a better job of freezing motion of the people walking on the stairs, which is likely due to their image combination techniques to reduce motion blur that would otherwise be evident due to the long exposures used. The G4 might look better to some people but detail is similar to the iPhone 6s Plus with the motion blur of the Note 5, so it ends up behind both.

Low Light Scene 2

In the interest of gathering more data points for low light camera performance, I decided to try and find a relatively uniform low light scene that stresses detail more strongly. Here, once again the iPhone 6s and 6 are pretty similar but the iPhone 6s has better detail at the expense of more noise. Relative to the iPhone 6 Plus, the iPhone 6s Plus ends up with better detail as well. The iPhone 6s Plus is actually arguably better than the Note 5 here by virtue of more accurate color and better details. For whatever reason in some very low contrast areas Samsung is just blurring away details in their post-processing that Apple is retaining. The ground is clearly more detailed as well. This seems counter-intuitive but this is really just Apple’s processing here as they’re getting away with an absurdly long exposure time without the associated motion blur that you might expect from a quarter second exposure. Once again, the LG G4 actually manages to beat the Note 5 and iPhone 6s Plus in absolute detail here despite the much higher sensor gain selected for this scene. The OnePlus 2 in this scene looks pretty similar to the iPhone 6s Plus, but with more color noise and a bit more blur at 100%.

Low Light Scene 3

In the interest of breaking things down even more, I decided to do one last low light test scene which is really more designed to see what motion blur occurs in low light than anything else. This is far from scientific but the iPhone 6s and 6s Plus are once again effectively equivalent at freezing motion, while the Note 5, G4, and almost every other phone I attempted to test this scene with is visibly worse at this. Weirdly enough, even though the Moto X 2014 and iPhone 6s are using the same shutter speed the iPhone appears to do a better job of maintaining detail. This is likely due to changes in image processing on the software side to try and reduce the effects but this is a rough estimate as I can’t control precisely how fast pedestrians and traffic move in this scene.

Overall, the iPhone 6s and 6s Plus are pretty consistently good cameras. In some ways Apple is losing out in raw detail for photos because they went with a smaller sensor size than most, but in general color reproduction, post-processing, and low light photo quality and overall camera UX Apple is leading quite strongly. To some extent, I would say that the Galaxy Note 5 and LG G4 are better in daytime just by virtue of their larger sensors, but in low light I would argue the iPhone 6s Plus is better by virtue of its ability to freeze motion while remaining competitive with the Note 5 and G4 for detail. The iPhone 6s by comparison is at least a few steps behind due to its lack of OIS, but this really only starts to matter when you hit the limits of the 2000 ISO and 1/15s exposure for a scene as the iPhone 6s Plus can push up to 2000 ISO and a 1/4s exposure.



Video Performance

Now that we’ve discussed still image quality we can start to look at video quality. This is a major highlight for the iPhone 6s’ this year as the main reason for increasing the resolution of the sensor is primarily to enable 4K video recording. Interestingly enough, for the iPhone 6s Apple has also added 1080p120 slow motion video capture along with OIS in video recording for the iPhone 6s Plus. It’s interesting to see this change, as in the past it looked like Apple was solely relying on their EIS mechanisms to smooth out video instead of using OIS. In order to test these changes, we rely on relative testing between two phones to see how both perform in terms of video stability, resolution, and exposure/focus quality.

Apple iPhone 6s Encoding
  Video Audio
1080p30 17 Mbps H.264 High Profile 83 Kbps, 44.1 KHz AAC
1080p60 26 Mbps H.264 High Profile 83 Kbps, 44.1 KHz AAC
4K30 50 Mbps H.264 High Profile 83 Kbps, 44.1 KHz AAC
1080p120 50 Mbps H.264 High Profile 83 Kbps, 44.1 KHz AAC
720p240 40 Mbps H.264 High Profile 83 Kbps, 44.1 KHz AAC

For 1080p30 video, Apple continues to use H.264 high profile encoding at around 17 Mbps bit rate, which is carried over from the iPhone 6. AAC at about 84 Kbps in a single channel is also carried over from the iPhone 6. It’s likely that mono audio is used because the microphone setup doesn’t really support stereo audio, but in future iterations it would definitely be interesting to see stereo audio recording. For all other modes, encoder settings appear to be constant with variations in bit rate based upon frame rate and resolution.

OIS Testing

When comparing the iPhone 6s and iPhone 6 for general image stabilization quality it feels like they’re both pretty much identical. If you’re not careful about holding the phone relatively still EIS is going to feel a bit limiting. However, Apple’s EIS is quite good compared to something like the Galaxy Note 5’s video OIS due to its rather unnatural dampening effect, in which there are pockets of local stability punctuated by no stabilization at all. It’s also interesting to see how the iPhone 6s and 6s Plus appear to still have an edge over the Note 5 when it comes to continuous auto focus as in this scene the Note 5 takes some time to recognize that the subject of the video is out of focus and some contrast AF bracketing is used to verify the correct focus point. By comparison, the iPhone 6s appears to snap to the correct focus point almost instantly.

The iPhone 6s Plus is clearly the best out of these four phones though as it manages to combine the rather natural EIS of the iPhone 6s with properly implemented OIS. The algorithm for using OIS appears to be intelligent enough that overall image stability is prioritized over locally minimizing camera shake, which shows as footsteps in the video are properly dampened along with hand shake, but the major deviations are left alone. This looks much more stable when compared to the Note 5 because the Note 5 does appear to cancel out major movements in the video, but as soon as the OIS runs out of travel there is a strong correction that causes an unnatural jerking effect.

1080p30 & 1080p60 Video

Here, the iPhone 6s compares pretty favorably with the best video cameras in the Android space. Relative to the Galaxy Note 5, the iPhone 6s has better color reproduction and dynamic range. This is especially evident when looking at shadows in the scene. Although this scene was shot towards the end of the day, the Note 5 makes the trees look much more yellow than they should be for accurate color. Due to the wider field of view, the Note 5 appears to have less detail as well, but zooming in should resolve the issue.

However, the iPhone 6s does end up worse than the Note 5 in some ways for this video. One obvious issue here is that the lack of OIS means that high frequency motion is especially hard to compensate for, which is more obvious in this test where I’m basically standing still instead of walking around trying to push the OIS beyond its travel limits. The Note 5 also has noticeably better audio quality due to the use of stereo recording.

The iPhone 6s Plus does go a long way to remedy these competitive deficits though, as the addition of OIS means that hand shake is pretty much eliminated in these relatively still videos. Apple’s use of a rather tight crop by default also means that the noticeable edge distortions induced by OIS are hard to notice, but given that a lot of people seem to prioritize field of view over general optical quality this may be considered a negative.

Weirdly enough, when comparing the iPhone 6s to the iPhone 6 there are some very real improvements to detail in 1080p video. It’s possible that we’re looking at the result of the 12MP sensor providing more pixels for oversampling here, as the field of view for both is pretty much identical. Overall image stability is basically the same here, which leads me to believe that cinematic video stabilization/EIS introduced with the iPhone 6 is basically unchanged when compared to the iPhone 6s. Color reproduction basically looks the same as well.  Recorded audio is noticeably different in profile to make things less tinny, which is a nice improvement but not really enough to make audio recording in these situations better than the Note 5.

Meanwhile for 1080p60, it feels like the gap is even wider when it comes to detail as the iPhone 6s and 6s Plus has pretty much equivalent detail to the 1080p30 mode but with a higher frame rate. Color reproduction takes a noticeable dive as well towards overexposure and an even stronger yellow tint which is kind of surprising to see. The iPhone 6s continues to maintain a noticeable lead in quality over the iPhone 6 as well.

4Kp30 Video

In 4K30, once again we see a surprising gap in fine detail and shadows. Color rendering of the trees also continues to be strongly saturated with yellow, which is a bit unrealistic for this scene. In stark contrast with most of the phones I’ve tested, 4K video on the iPhone 6s still has cinematic video stabilization active. There’s also no actual recording limit, which I tested by recording 4K video for roughly half an hour until it was evident that the phone wasn’t going to stop recording. When comparing to the iPhone 6’s 1080p30 output it’s evident that there isn’t really any compromise other than additional storage use.

Slow Motion Video

In 120 FPS slow motion the iPhone 6s’s are basically as good as it gets. Due to the resolution advantage no other OEM is really challenging Apple here. The 1080p120 video isn’t quite as high in detail as 1080p30 or 1080p60, but even a high quality 720p video isn’t really comparable.

Meanwhile in 240 FPS detail is comparable when comparing the iPhone 6s to the iPhone 6, but the iPhone 6s does appear to be slightly improved. I suspect we’re mostly looking at limits of the resolution here rather than encode or camera-level limitations.

Overall, the iPhone 6s and 6s Plus are both some of the best phones on the market for video capture. The iPhone 6s Plus manages to lead over the iPhone 6s by virtue of its OIS, but even without it the iPhone 6s is clearly pulling ahead of others when it comes to slow motion and 4K video capture. Looking at both video and still image performance together, the iPhone 6s Plus arguably has the best camera in an iOS or Android smartphone today. It isn’t necessarily the best at sheer detail for still images, but the camera doesn’t do anything wrong. The iPhone 6s Plus leads in overall low light photo quality, camera user experience, and overall video quality. The iPhone 6s is decidedly a few steps behind due to its lack of OIS, so as a result I would rank it around the iPhone 6 and Galaxy S6.



Software: 3D Touch, TouchID, Always-On "Hey Siri", and iOS 9

In a lot of ways, evaluating iOS 9 from a broad platform-level standpoint is a bit pointless for a device-specific review, so for a high-level evaluation I would refer to our iOS 9 review. However, in order differentiate their devices every year it seems that Apple has a few special features within the OS added for their latest phone. Of course, this is at least partially hardware-related but given that these features are often enabling new user interfaces in iOS it seems more appropriate to look at these as software additions that are enabled by hardware rather than the other way around.

The first major change in the iPhone 6s is the addition of 3D Touch. This is basically just the addition of pressure sensitivity in addition to the capacitive touch display. This sounds pretty simple, but it really isn’t. For the most part, force sensors are normally relatively large. As a result, something like a trackpad usually only supports pressure sensitivity over the entire surface of the pad rather than at a specific point on the trackpad. Force Touch on the Macbook line and Apple Watch both operate in this way. Instead, the iPhone 6s manages to measure pressure on specific points of the display, which Apple claims is done by measuring capacitance changes between the cover glass and the backlight. There’s also some sensor fusion going on here by using the accelerometer and gyroscope to determine if there is an intentional press.

I don’t claim to know exactly how Apple has implemented this system, but the result is truly impressive. I’ve spent enough time with the phone to say that the pressure sensitivity of the system is incredibly precise, as is where it places the measured pressure. In a lot of ways, it almost feels like magic.

Of course, while it’s cool that this phone has pressure sensitivity, it’s necessary to have some true applications of this pressure sensitive display. To that end, Apple has added two features called peek and pop. Peek allows you to preview some content by pressing slightly on the content instead of tapping. You can peek at message threads without entering them, emails without opening them, and apps without launching them.

 

At a practical level, this is actually a great feature. I never really thought too hard about it, but it’s often annoying for me to open an email and then realize that I need to keep it marked unread so that I don’t forget to look at it again. It’s also often annoying to open a single image link in a messaging application only to immediately close the browser once I’ve opened it for about half a second. I can clearly see how this is superior to a long-press as there’s no need to press and wait for the system to respond. Combined with the Taptic Engine, which uses a z-axis linear resonant actuator which helps to increase the responsiveness of the haptic feedback. Due to this haptic feedback, it’s immediately obvious when you’ve reached a certain level of pressure for either a peek or pop. I can also immediately see applications in gaming with something as simple as pressure-sensitive throttle in racing games. The haptic feedback of the iPhone 6 by comparison was pretty much your standard vibration, with some noticeable vibration but nothing that really jumped out. The iPhone 6s feels much more defined, which is nice to have on a phone, especially with 3D Touch.

This seems like a relatively small change in the grand scheme of things, but I suspect as time goes on the applications will become much more central than they are now which are already appearing in cases like the keyboard's trackpad feature. Right away there are some obvious user experience improvements but I don’t know if I’d go as far as saying that it’s a revolution still, but I think this is going to be a critical part of the smartphone experience going forward at least for high-end smartphones.

The other major noticeable change is the second generation TouchID sensor. I’ve been noticing lately that the iPhone 6 was relatively slow on the fingerprint sensor when compared to things like the Galaxy Note 5. The iPhone 6s improves on this, and it’s to the extent where it’s basically immediately unlocking as soon as you click on the home button to wake the phone. This is fast enough that if you wanted to check the lockscreen for notifications it’s necessary to use the power button instead of the home button. The user experience is seriously improved here and over the half second or so saved over every unlock over a few years is going to be significant.

The other notable update here to the feature set of the iPhone 6s is the addition of always-on “Hey Siri”. Setting this up is pretty simple as all that needs to be done is that you have to say some key phrases a few times. Once this is done it works as well as it should. It always seems to work when I say the phrase, and in general other people trying to use the phrase to get it to activate as a prank or something similar are unable to make it work. It’s likely that we’re looking at a low power DSP like the TI C55x used in the Moto X, and Apple claims that this is enabled by the on-die M9 chipset in the A9 SoC. For those that are really use Siri all the time, this is definitely going to be a useful feature.

Outside of these highlights, iOS is noticeably faster on the iPhone 6s. I’m not sure what caused this, but the move from iOS 8 to iOS 9 caused the iPhone 6 to have noticeably more dropped frames in areas like the multitasking menu. I’m not sure why this is the case but at any rate the iPhone 6s is noticeably smoother when using iOS 9 than the iPhone 6. I suspect that the addition of even more Gaussian blur effects in iOS 9 is really what’s causing much of the frame drops occurring in the OS. The addition of new data sources to Spotlight causes noticeable lag to the iPhone 6 but the same isn’t as obvious as it is on the iPhone 6s. One might notice that there's no discussion of RAM here because I didn't notice any problems with memory running out in the course of my use.

Overall, I think the iPhone 6s’ user experience is a major step up from previous iPhones. The iPhone 6 was a bigger phone but in a lot of ways it felt very similar to the iPhone 5s. The iPhone 6s’ user experience by comparison feels like a pretty significant change just because of the addition of 3D Touch and the new TouchID sensor. The A9 SoC, combined with an enormously fast storage solution is also felt very strongly as everything in the phone is visibly faster than the iPhone 6.



Display

In pretty much any smartphone, displays are going to be one of the most important aspects of the user experience. If a display is dim or has a highly reflective display it will be unreadable outdoors. If the contrast is low, the display can become difficult to read and not particularly appealing to the eye. Other issues like lack of color stability and contrast stability with changes in viewing angles will be much more noticeable than on something like a laptop or desktop where the monitor is usually kept at a constant position in space relative to the eye. In order to evaluate these factors we use both relative comparisons and absolute measurements. Although the human eye is sufficient for relative comparison, for absolute measurements we use X-Rite’s i1Pro2 spectrophotometer for precise color and luminance measurements, along with X-Rite’s i1Display Pro colorimeter for accurate contrast figures. Hardware alone is insufficient for collecting and presenting data, so we also use SpectraCal’s CalMAN 5 with a custom workflow, which allows for collecting and presenting data in a readable manner.

In the case of the iPhone 6s and iPhone 6s Plus, the display appears to be effectively unchanged from the iPhone 6 and iPhone 6 Plus. The iPhone 6s retains the 1334x750 resolution of the previous variant, and the iPhone 6s Plus has the same 1080p resolution as well. Apple continues to use the same M2 scaler as well, which means that although the display’s physical resolution is 1080p Apple is actually rendering the display at 2208x1242 and scaling it to fit the display. The resolution of the iPhone 6s is on the low side relative to most Android devices, which is noticeable but the pixel density is sufficient to avoid any obvious problems here.

As with the iPhone 6, both the iPhone 6s and 6s Plus use dual domain pixels, which make the subpixels look more like chevrons under a microscope. This improves viewing angles by reducing the amount of color shifting that occurs when the display viewing angle is changed. As far as I can tell, Apple continues to be one of the few OEMs that pulls this off effectively. Although contrast and luminance aren’t perfectly consistent with changes in viewing angles, it basically looks like the display is painted underneath the glass. The iPhone 6s Plus does a better job at pulling off this illusion as the higher pixel density helps to eliminate some of the fuzziness or pixilation that might otherwise occur. Samsung gets close here, but for whatever reason ambient light causes noticeable interference effects and in general there’s noticeable color shift when moving the display around. Weirdly enough, the OEM that seems to be doing the best job here continues to be HTC with the One M9+.

Display - Max Brightness

Display - Black Levels

Display - Contrast Ratio

Moving on to our usual brightness and contrast testing, it looks like Apple has improved the maximum brightness of their displays with the use of the new LED backlight driver, but it’s important to note that in the case of the iPhone 6s, the maximum brightness isn’t constant. The behavior is relatively subtle, but with iOS 9 at maximum brightness the display brightness steadily lowers over the course of an hour by about 10 nits maximum. It looks like this effect tails off in both the rate of luminance decrease and overall luminance decrease as peak brightness approaches 500 nits, where the effect appears to be non-existent. It’s likely that this behavior is designed to reduce the battery impact of keeping the display at maximum brightness in all situations. Either way, peak luminance is high enough that it isn’t a struggle to read the display outdoors and contrast in low-light conditions also remains high due to the use of photo-alignment in the liquid crystal layer which helps to make the liquid crystals stay in the right shape.

iPhone 6s

iPhone 6s Plus

Display - White Point

Display - Grayscale Accuracy

In grayscale, we don’t quite see the near-perfect white balance we saw last year but gamma remains almost impeccable when compared to the industry standard power 2.2 gamma. I suspect that the units we received this time are closer to the mean for white balance compared to last year, as in general due to the blue LED backlights used in most LCDs a colder color balance will generally require less power to display than a warmer one.

iPhone 6s

iPhone 6s Plus

Display - Saturation Accuracy

In our saturation sweep testing, the iPhone 6s and 6s Plus both continue to track closely to expected values for sRGB, which is the current industry standard color gamut. I don’t really have any criticism here. The previous iPhone’s displays were of similar caliber, so this is pretty much par for the course if you’re used to iPhone displays.

iPhone 6s

iPhone 6s Plus

Display - GMB Accuracy

Similarly in the GMB ColorChecker, the iPhone 6s and 6s Plus both pass with flying colors. If you’re using an iPhone 6s or 6s Plus for any remotely color-critical work like viewing and/or editing photos and videos, it’s a pretty fair bet that you’ll be able to rely on these phones to provide an accurate color reproduction in pretty much any condition. Samsung does provide better contrast and the possibility of extra color saturation with their Galaxy S6 and Note 5, but this comes at the cost of potential for burn-in, increased power consumption in certain scenarios, and increased distortion with changes in viewing angles. I think this means that it basically comes out to a wash, but depending upon personal taste one may prove to be better than another.



WiFi Performance

While cellular data is often the center of smartphone connectivity, it’s often the case that people rely on WiFi instead of cellular data for the bulk of their data transfers. As a result WiFi is a pretty important part of the overall smartphone experience. In the case of the iPhone 6s, the major change from the iPhone 6 is that rather than single stream 802.11ac, the iPhone 6s now has dual spatial stream 802.11ac. This increases the maximum physical link rate to 867 Mbps. There’s been some confusion over what’s used for the WiFi chipset, and as far as I can tell this is definitely a Broadcom solution, which is identified as BCM4350 in the system judging by the device ID. This chipset is shared with the Macbook, which is probably helpful for driver development. In order to test how well this performs, we use iperf2 in UDP mode to attempt to determine maximum practical bandwidth. It’s worth noting here that the iperf2 ports on iOS are still amazingly buggy though, so these results are no guarantee as far as I can tell.

WiFi Performance - UDP

Interestingly enough, for whatever reason the iPhone 6s holds a minor lead over most of the other devices in this test. I’m not sure what’s causing this, but in practice I found that WiFi on the iPhone 6s worked without any noticeable issues. Reception is noticeably improved when compared to the iPhone 6 due to the addition of a second spatial stream which means that throughput at the same distance is higher.

GNSS

Accurate location is often critical to a number of popular applications for smartphones like any kind of navigation or mapping application, which is going to be an incredibly painful experience if the GNSS system in the phone has poor sensitivity to the signals used in the various GNSS systems available today. Although I have no hard evidence to go on, given the use of a Qualcomm modem in this phone I strongly suspect that this phone is using Qualcomm's IZat location services. I would normally run some cursory testing here with a GPS test application, but because iOS offers no way to clear assistance data and location services are disabled if there is no prior connection to download assistance data, there's no way to do the usual cold lock testing.

A warm lock in which connection was immediately established to begin downloading assistance data achieved lock within 15 seconds, and in general I've never had issues with location services in the iPhone 6s in my time using it.

Misc

Over the course of the review, there are a lot of various bits and pieces that I learn about a phone that might be of interest, but aren’t necessarily examined in intense depth because of either limitations in our testing or the issue of interest isn’t significant enough to examine closely. For example, the fuel gauge appears to be a TI design win as there are references to a BQ27540 chip. The A9 SoC also contains a dedicated AES accelerator for things like full disk encryption and FairPlay DRM. The display backlight appears to use the TI LM3539 driver, but other evidence within the system suggests that this isn’t the only backlight driver for the display.

On the audio side of things, although I haven’t been able to do deep investigations into audio quality it appears in the system that the audio codec used is Cirrus Logic’s CS42L71 which also appears to be responsible for microphone input. However, instead of using an amplified on the audio codec a dedicated amp is used for the speaker, which appears to be a Cirrus Logic CS35L21. Subjectively, the speaker sounds pretty similar to the iPhone 6, but at the high end of the volume range it feels like volume was increased while also increasing distortion. Turning down the volume to a comparable level makes both sound pretty much the same.

More reading of the system files reveals some noticeable codenames like Stockholm which appears to be related to NFC and payments in some way. The fingerprint sensor is referred to as Mesa, and the authentication/secure element appears to be Sand Dollar. The charger chip is referred to either as SN2400 or Tigris. Some sort of accessory detection chip is referred to as TriStar2 or CBTL1610. The ambient light sensor is referred to as CT821 but I can’t find anything on what this is at all.



Final Words

The iPhone 6s in a lot of ways seems like it’s simple enough to review, but it turns out if you dig deep the changes have been significant. Over the course of a review, we’ve found major changes in the SoC, storage solution, camera, touch screen, fingerprint scanner, voice recognition software, cellular architecture, and WiFi chipset.

On the SoC side, it’s pretty safe to say that the A9 SoC is the best SoC in any phone today. We can talk about the TSMC and Samsung controversy, but at the end of the day regardless of which one you end up with the performance is going to be far and away better than anything else we’ve seen thus far. There are a lot of reasons for this, but at the end of the all that really matters is that the phone delivers the best user experience in areas where GPU or CPU performance is a gating factor. Again, I keep coming back to web browsing but due to the nature of wasteful yet necessary abstraction that occurs in websites and web applications it’s incredibly important that a high-end phone starts to challenge 2-in-1 and passively-cooled laptops in burst performance for a good user experience.

While CPU and strong browser optimization is critical for good web browsing performance, GPU is the other half of the equation to this SoC, even if it isn’t necessarily used to the fullest extent. The reality is that a high end phone is going to be used for gaming by a lot of people, and at the high-end gaming performance really needs to be impressive. The iPhone 6s’ are going to do well at this. GFXBench isn’t the same thing as an actual game, but the fact that the iPhone 6s and 6s Plus are basically pegging T-Rex at the maximum possible frame rate for most of a 3-4 hour infinite run of this intense benchmark basically means that gaming on the iPhone is going to be the best possible experience due to its incredibly high unthrottled GPU performance and the length of time that it’s able to sustain that unthrottled GPU performance. No other SoC I've tested this year can sustain this level of performance for this level of time.

The other truly impressive aspect of the iPhone 6s’ this generation is the storage solution. The iPhone’s storage solution here is ahead of everything else in the industry for three clear reasons. The first is the use of more advanced NAND organization. Although TLC NAND alone is going to be clearly worse for performance than SLC or MLC NAND, the iPhone 6s’ use SLC caching in conjunction with TLC NAND to improve storage performance in the situations that matter. The second is the use of PCI-Express to enable much higher bandwidths, which means that the SLC cache can really stretch its legs to reach the high levels of bandwidth that it’s capable of. The third is the use of a custom storage controller with NVM Express, which helps to realize the full benefits of PCI-Express. Overall, all of these things come together to make noticeable differences in user experience. Probably the most obvious example here would be iCloud backup and restore, along with app installs and updates. Burst photography and camera speed are also improved as a result of better storage.

The next upgrade worth discussing in the iPhone 6s lineup is the camera. The move to a 12MP rear camera was something that I personally was at least mildly skeptical of, but after testing the camera for myself I’m firmly convinced that Apple has managed to move to 12MP without noticeable degradation. The camera may not be sharper in most scenes, but the extra pixels enable 4K video recording, and it seems that this generation the improvements to video recording quality are enormous. On both iPhone 6s’, the addition of 4K video recording without random recording limitations, loss of image stabilization, or rapid overheating is surprisingly rare given the number of phones that support 4K video recording. The addition of 1080p120 slow motion video only magnifies just how far ahead Apple is in this segment when compared to Android smartphones. The iPhone 6s Plus also carries the rare distinction of having active OIS in video recording without the associated problems with jerky OIS behavior. The one disappointment here is that the iPhone 6s doesn’t have OIS, which increasingly feels like a pretty significant differentiator. Live Photos are also cool, even if at this point it remains to be seen if it will gain traction in the market.

The addition of 3D Touch to the iPhone 6s is probably something that seems like a gimmick on the surface, but after enough time with the iPhone 6s’ I can confidently say that this is probably one of the bigger changes to user interfaces in years. As-is, Apple has already managed to eliminate a lot of the friction that normally occurs with smartphone interaction when attempting to preview content without losing focus. However, going forward I can see significant changes in how people will interact with their phones. This isn’t really a revolution in the same way that the original iPhone is, but it’s a critical evolution step in the same way high-DPI displays were. I don’t think anyone is going to suffer greatly because their phone doesn’t have pressure sensitivity, but once you have this feature it’s hard to go back.

The second generation of TouchID isn’t quite as life-changing, but it’s a welcome improvement nonetheless. Again, this is a case where there was friction in the user experience that wasn’t really noticeable until it was gone. Obviously, Apple is no longer the only one at this level of user experience with fingerprint scanners but they are keeping up.  The addition of always-on Siri is similar to TouchID in that regard, as while it isn’t life-changing it is a welcome improvement. The amount of polish in the personalized voice recognition is also impressive to see in action, and something that isn’t necessarily present in every implementation of always-on voice recognition that I’ve seen.

The sort of finishing touches to the iPhone 6s are the improvements to the cellular modem and WiFi chipset. The upgrade to Qualcomm’s MDM9x35 Gobi modem helps to improve power efficiency along with moving the iPhone 6s to UE Category 6 compatibility for LTE. The move to Broadcom’s BCM4350 WiFi/BT combo chipset enables 2x2 802.11ac, which means better range and throughput for routers that support MIMO.

Overall, after spending all this time with the iPhone 6s I can’t find anything really wrong with this phone. On the contrary, the A9 SoC is a huge jump in performance even relative to other SoCs on the same process node to give impressive application performance. The storage solution is unlike anything else in mobile that I’ve seen so far. The camera’s overall user experience is just about the best that you can get on the market. 3D Touch is a big improvement in user experience, while TouchID v2 and always-on Siri are worthwhile improvements in user experience. The only real issues I can think of are that the iPhone 6s doesn’t have OIS and that the base SKU is still 16GB of storage. To be fair, the 16GB SKU can become a noticeable user experience issue if you're constantly dealing with the limits of this storage, and the jump from 16 to 64 GB feels like it's simply designed to encourage buying a more expensive SKU. There are arguments that users that don't really take a ton of photos or videos and stream all their media will be fine, but it's still a user experience problem in this day and age. However, despite these issues I would argue that the iPhone 6s’ are the best phones you can buy today.

Of course, this sounds like a rather hollow recommendation to those that have followed our reviews for the past year. This year, more than ever it feels like Android smartphones at the high end have stood still, as if smartphone improvements have become a zero sum game. To make the best phone this year is therefore a pretty low bar to clear. However, the iPhone 6s, even when compared to iPhones alone, is especially noteworthy for the improvements to overall user experience.

On top of being a great smartphone in the same vein as previous smartphones, the addition of 3D Touch is a big deal. The idea of having additional interactions on top of a traditional touch screen is not a new idea. Samsung has tried the same before with Air View. However, what matters here is that the implementation is novel and useful in a way that other implementations weren't. 3D Touch manages to work because there's no need to hover a finger over a single link for half a second and rather than a single potential extra action there are a whole range of potential additional interactions that can be pressure-based. Zooming in and out on a browser could be done by pressure to greatly improve the user experience on desktop-only websites instead of constantly pinching in and out to read various parts of the page. AE/AF locking through increased pressure rather than a long press, more sophisticated gaming controls, and other applications have yet to be realized, but just the ability to preview web pages, messages, emails, and other content is a significant change in how I use a phone from day to day. Instead of constantly tapping and then immediately swiping back on emails and messages, it's much faster and more convenient to quickly press down on a single email to preview the first paragraph or so before moving on to the next just by letting go of the display. OEMs and SoC vendors often speak in platitudes about how user experience matters but 3D Touch is probably the first case where the user experience is visibly improved in a very real way.

In light of these factors, I would give the iPhone 6s line the Editors’ Choice Gold award. Looking back on the phone that has received this award in the past, I believe that the criteria for this award is such that a product is not only one of the best in its category and an extremely good product in a vacuum, but pushes the smartphone user experience forward in significant ways. The iPhone 6s isn't a perfect phone, but to receive the second highest award I don't believe it's necessary to make a "perfect" phone. There are areas that could be improved, but nothing that I believe is a significant detriment to the phone.

Log in

Don't have an account? Sign up now